計算機構成 第1回 ガイダンス VerilogHDLのシミュレーション環境

Slides:



Advertisements
Similar presentations
電子制御設計製図Ⅰ 第一回 担当教員: 北川輝彦 2015 年 4 月 7 日. 電子制御工学の概要 コンピュータ 自動車 航空・宇宙 医療機器 情報 / 通信 ネットワーク ロボット 電子機器 電気 知能化システム 環境機器 電子 機械 情報 制御 計測 実験・実習.
Advertisements

1 情報基礎 A 第 9 週 プログラミング入門 VBA の基本文法 1 準備・変数・データの入出力 徳山 豪・全 眞嬉 東北大学情報科学研究科 システム情報科学専攻 情報システム評価学分野.
計算機工学特論A 10/17  テキスト内容 1.1~1.4.
VLSI設計論第4回 アキュムレータマシンと 仮遅延シミュレーション
1.コンピュータと情報処理 p.20 第1章第1節 3.ソフトウェア ソフトウェア 基本ソフトウェア
Capter9 Creating an Embedded Test Bench ( )
計算機システムⅡ 主記憶装置とALU,レジスタの制御
2010年4月13日 電子制御設計製図Ⅰ 第一回 担当教員: 北川輝彦.
実行時のメモリ構造(1) Jasminの基礎とフレーム内動作
Handel-C基礎 および 7セグとマウスのハンドリング
Verilog HDL 12月21日(月).
応用情報処理V 第1回 プログラミングとは何か 2004年9月27日.
オリジナルなCPUの開発 指導教授:笠原 宏 05IE063 戸塚 雄太 05IE074 橋本 将平 05IE089 牧野 政道
FPGAを用いたMG3用 インターフェース回路の解説
計算機システムⅡ 命令セットアーキテクチャ
情報科学1(G1) 2016年度.
VLSI設計論第2回 組み合わせ回路の記述と 論理シミュレーション
4.2.2 4to1セレクタ.
応用情報処理V 第1回 プログラミングとは何か 2003年9月29日.
プログラムはなぜ動くのか.
高性能コンピューティング論2 第1回 ガイダンス
プログラミング演習Ⅰ 課題2 10進数と2進数 2回目.
Ibaraki Univ. Dept of Electrical & Electronic Eng.
トキのカタチ2016 電子工作(Arduino)講習
「ソフトウェアのしくみ」.
専門演習Ⅰ 国際経済学部 国際産業情報学科 2年 石川 愛
計算機工学特論A 第4回 論理合成 山越研究室 増山 知東 2007年11月7日 .
VLSI設計論 慶應義塾大学 理工学部 情報工学科 山﨑 信行
組み込み向けCPU 小型デバイスに搭載されるCPU 特徴 携帯電話,デジタルカメラ,PDA,センサデバイスなど 小型 低消費電力 多機能
ハードウェア記述言語による 論理回路設計とFPGAへの実装 2
コンピュータの原理 1E17M053-9 奈良 皐佑 1E17M070-7 師尾 直希        1E17M078-6 渡邊 惇.
アドバンスト コンピュータ アーキテクチャ 五島.
学籍番号順に着席のこと 001~056までは741教室へ 057~110までは743教室へ
高速剰余算アルゴリズムとそのハードウェア実装についての研究
序章 第2節 教育機器とコンピュータ 1 パーソナルコンピュータ
1.コンピュータと情報処理 p.18 第1章第1節 2.コンピュータの動作のしくみ CPUと論理回路
前坂 たけし (北大院・理) 其の壱 はじめての BIOS 前坂 たけし (北大院・理)
2014年4月4日 電子制御設計製図Ⅰ 第一回 担当教員: 北川輝彦.
コンピュータ系実験Ⅲ 「ワンチップマイコンの応用」 第1週目 アセンブリ言語講座
巡回冗長検査CRC32の ハード/ソフト最適分割の検討
第二部  回路記述編 第3章 文法概略と基本記述スタイル 3.1 文法を少々 3.1.1~3.1.3.
1.情報機器について知ろう(p.8-9) 第1章 第1節
ディジタル回路の設計と CADによるシステム設計
計算機構成 第2回 ALUと組み合わせ回路の記述
地域情報学 C言語プログラミング 第1回 導入、変数、型変換、printf関数 2016年11月11日
計算機構成 第3回 データパス:計算をするところ テキスト14‐19、29‐35
計算機構成 第4回 アキュムレータマシン テキスト第3章
VLSI設計論第3回 順序回路の記述と論理合成
計算機構成 第11回 マルチサイクルCPU 慶應大学 天野英晴.
情報とコンピュータ 静岡大学工学部 安藤和敏
先週の復習: CPU が働く仕組み コンピュータの構造 pp 制御装置+演算装置+レジスタ 制御装置がなければ電卓と同様
コンピュータの仕組み 〜ハードウェア〜 1E15M009-3 伊藤佳樹 1E15M035-2 柴田将馬 1E15M061-1 花岡沙紀
坂井 修一 東京大学 大学院 情報理工学系研究科 電子情報学専攻 東京大学 工学部 電気工学科
明星大学 情報学科 2012年度前期     情報技術Ⅰ   第1回
  第3章 論理回路  コンピュータでは,データを2進数の0と1で表現している.この2つの値,すなわち,2値で扱われるデータを論理データという.論理データの計算・判断・記憶は論理回路により実現される.  コンピュータのハードウェアは,基本的に論理回路で作られている。              論理積回路.
Ibaraki Univ. Dept of Electrical & Electronic Eng.
コンピュータアーキテクチャ 第 9 回.
計算機工学特論 スライド 電気電子工学専攻 修士1年 弓仲研究室 河西良介
情報基礎Ⅱ (第1回) 月曜4限 担当:北川 晃.
計算機アーキテクチャ1 (計算機構成論(再)) 第一回 計算機の歴史、基本構成、動作原理
2013年度 プログラミングⅠ ~ 内部構造と動作の仕組み(2) ~.
ガイダンス 電子計算機 電気工学科 山本昌志 1E
基本情報技術概論(第13回) 埼玉大学 理工学研究科 堀山 貴史
コンピュータアーキテクチャ 第 5 回.
第4回 CPUの役割と仕組み2 命令の解析と実行、クロック、レジスタ
コンピュータアーキテクチャ 第 5 回.
コンピュータの五大要素 入力装置 データ(プログラム)を取り込む 出力装置 処理結果のデータを外部に取り出す
プログラミング基礎a 第9回 Java言語による図形処理入門(1) Javaアプレット入門
明星大学 情報学科 2014年度前期     情報技術Ⅰ   第1回
岩村雅一 知能情報工学演習I 第7回(後半第1回) 岩村雅一
Presentation transcript:

計算機構成 第1回 ガイダンス VerilogHDLのシミュレーション環境 情報工学科 天野英晴

いまさらだが、、、 コンピュータはIT社会の基盤部品 ノートブック、スマートフォーン、タブレット サーバー、クラウド、スーパーコンピュータ ビデオ機器、テレビ、ゲーム機器 ネットワーク機器 冷暖房、冷蔵庫、電気釜、洗濯機、掃除機だって制御はコンピュータ しかし、概観の話は「計算機基礎」でやっている

何をやるか? CPU(中央処理装置)の設計をやり、シミュレーションをやりながら、内部構成を理解する。 RISC (Reduced Instruction Set Computer)の命令セット、構成を中心に据える ハードウェア記述言語でのディジタル回路設計を学ぶ 設計したCPUは秋学期の実験で使う FPGAでもASICでも実装可能 Verilog-HDLの記述方式、シミュレーション方法 演算回路 ALUと選択構文 CPUのデータパス、レジスタとメモリ プログラム格納型計算機 RISCの命令セットアーキテクチャ 分岐命令 サブルーチンコールとスタック 論理合成と設計最適化

授業のやり方 テキスト:「作りながら学ぶコンピュータアーキテクチャ」 天野、西村著 培風館 テキスト:「作りながら学ぶコンピュータアーキテクチャ」         天野、西村著 培風館 授業資料はhttp://www.am.ics.keio.ac.jpに掲示 授業を90分、演習を90分→3単位はやや単位効率が悪いがその分調整する 演習はTAが面倒見てくれる。 6時15分には基本的に終わる。多くの人は6時前に帰る。 成績の付け方 試験+演習(各回5点、間違うと0点)により付ける 休んだ場合、後から提出してもよい この科目は楽に単位が取れるという意味で楽勝科目ではないが、Aの比率は高い→普通に演習を提出し、試験前にちょっと勉強すればAが取れる!

ディジタル回路 計算機構成同演習 計算機基礎 SoC設計論 SoC設計演習 大学院 マイクロプロセッサアーキテクチャ特論 コンピュータアーキテクチャ特論 VLSI設計論 (MIPSの設計、レイアウト) 4年春 情報工学実験第2 (I/Oを含んだマイクロプロセッサ) 3年秋 コンピュータアーキテクチャ 3年春 ディジタル回路 計算機構成同演習 2年秋 授業の流れ 計算機基礎 2年春

コンピュータの構成 この授業で やるところ Disk Key CPU Display System Bus I/O Bus Memory Bridge System Bus I/O Bus Memory System コンピュータの3要素

コンピュータのクラス 数値計算用 特殊コンピュータ 性能 スーパー コンピュータ データセンター用 クラスタ サーバー 信頼性重視 スループット重視 デスクトップ、ラップトップ 最新のCPUを利用 性能価格比 グラフィック性能が重要 タブレット スマートフォン 組み込みプロセッサ 特定のプログラムのみ動作 コスト、消費電力が重要 100 10000 100万 1億 100億 価格帯

コンピュータの歴史 2度の大変革 性能 単体コアの性能向上 マルチコアの時代 ×1.22/年 マイクロプロセッサ 性能爆発時代 メインフレームの時代 ×1.5/年 ムーアの法則 ×1.3/年 年 1960 1970 1980 1990 2000 2010

ハードウェア記述言語 HDL (Hardware Description Language) 「計算機基礎」で習ったゲート接続図を使ったハードウェア設計は今は使われない スケマティック設計と呼ばれる Verilog-HDLとVHDLの二つが標準 最近は多くのCAD (Computer Aided Design)が両方を受け付ける CADによる論理合成、圧縮によってゲート接続図(ネットリスト)に変換される 最近はCレベル設計も一般化 将来は用途によって使い分けられるだろう 大学院の授業で紹介、演習もある

VerilogとVHDL Verilog-HDL VHDL 出自 論理シミュレーション記述 仕様書 標準化 デファクトスタンダード 国際標準 Pacal風(嘘) PL/I→ADA 特徴 広い範囲でシミュレーションは可能 記述が厳格 情報工学科ではVerilog HDLを採用

Verilogの基本文法 /* 1bit adder */ module adder ( input a,b, output s); コメントはC言語と同じ 日本語キャラクタはトラブルの 元なので止めて下さい /* 1bit adder */ module adder ( input a,b, output s); assign s = a+b; // add a,b endmodule なぜかセミコロンが要る ハードウェアモジュールは モジュール文で定義、 パラメータの書き方はC言語 と似ている。 assign文は信号の「接続」 「出力」を示す。 endmoduleで終わる ここにはセミコロンをつけては ダメ adder.v: 拡張子は.v、ファイル名は トップモジュール名と同じにする

テストベンチ(test.v) シミュレーション制御のための記述 module test; parameter STEP=10; reg ina, inb; wire outs; adder adder_1(.a(ina), .b(inb), .s(outs)); initial begin $dumpfile(“adder.vcd”); $dumpvars(0,adder_1); ina <= 1’b0; inb <= 1’b0; #STEP $display(“a:%b b:%b s:%b”, ina,inb,outs); inb <= 1’b1; …

parameter文は後に述べるdefine文と似ているがより柔軟 テストベンチの記述 parameter文は後に述べるdefine文と似ているがより柔軟 parameter STEP=10; reg ina, inb; wire outs; adder adder_1(.a(ina), .b(inb), .s(outs)); reg文での宣言では値を記憶できる。 wire文は信号に名前を付けるだけ。 これは後の授業で紹介する。 インスタンス名 別ファイルで宣言したモジュール名 入出力への接続 ピリオド以下はローカルな名前を使う

シミュレーションの制御 initial begin $dumpfile(“adder.vcd”); $dumpvars(0,adder_1); ina <= 1’b0; inb <= 1’b0; #STEP $display(“a:%b b:%b s:%b”, ina,inb,outs); inb <= 1’b1; 波形ファイルを指定 記録する範囲を指定 reg文にはブロッキング代入<= (これも後に紹介する) ここでは入力を制御 時間消費 値の表示、プリント文と似ている %bで2進数表示 リターンは自動的に入る

Verilog-HDLのシミュレーション Ikarus Verilogを利用 〇コンパイラ型のフリーソフトウェア Linux, Windowsマシンにインストール可能 iverilog XX.vでコンパイル、必要なファイルを全部書く vvp a.outで実行、かなり高速 〇Verilog2000に対応 ×遅延付シミュレーションができない 波形Viewerはgtkwave 〇フリーソフトウェア Linux,Windowsマシンにインストール可能 gtkwave XX.vcdで起動 基本的なViewerの機能は全て持つ ×他のViewerに比べて少し使いにくいかも、、

後は実際にやってみよう 演習 加算を論理AND(&)に置き換えてシミュレーションを実行しよう andは予約語なので注意 Webからtar fileを取って来る tar xvf 1kai.tarで解凍 提出は report@am.ics.keio.ac.jp Subject: PARTHENON 学籍番号 名前 名前はローマ字で書いてください