Quartus II 使用法 (ver 9 sp2, 一部ver. 5)

Slides:



Advertisements
Similar presentations
Quartus Ⅱの簡単な使い方 Combinatorial Logic (組み合わせ論理回路)( P.19 ~ 23 ) ① Implementing Boolean Expressions&Equations (ブール表現とブール式の書き方) ② Declaring Nodes (ノードの宣言)
Advertisements

情報処理 第9回第9回第9回第9回. 今日の内容 Excel の起動と画面構成 –Excel の起動 –Excel の画面構成と基本用語 データの入力 – 文字の入力 – 数値の入力 – 日時の入力 – オートフィル.
BBT 大学 Ruby on Rails 開発環境セットアップマニュアル Mac 版 1.1 最終更新日: 2013/1/5.
目次(電子納品 操作手順) ※ページはスライド番号
情報基礎演習I(プログラミング) 第9回 6月22日 水曜5限 江草由佳
BBT大学 Ruby on Rails開発環境セットアップマニュアル
TeX で数式を書くための PowerPoint アドイン Ver (2011/06/26) Ver. 0.1 (2007/5/30)
目次 WindowsPCの場合 iPadの場合 iPhoneの場合 Androidの場合
SDOPxls2xml操作説明書 version1.0
エクセル(1)の目次 起動法、ブック、シート、セル ブックの開き方 エクセル画面 マウスポインターの種類 シート数の調節 データの入力法
オーダー端末操作手順書 WideNet株式会社 Ver.2013/01/30.
情報処理実習 第05回 Excelマクロ機能入門 操作マクロ入門.
PC作業の一時停止 ●「スタンバイ(サスペンド)」: メモリには電源が供給される。実行中のデータがそのままメモリに保持されるため、作業を中断した状態から 数秒で再開ができる。省電力。 操作: 「スタート」→「電源オプション」→「スタンバイ」とクリックする。 ●「休止状態」: メモリの内容をハードディスクに退避してから全デバイスの電源をオフ。作業を中断した状態からの再開ができる。ノートPCでは電池が消耗しない。
ハードウェア記述言語による 論理回路設計とFPGAへの実装 1
コマンドプロンプトの起動と終了 最初に覚えるコマンド ディレクトリ構造とパス 各種コマンドの練習 Cコンパイルとプログラムの実行
Imagio カンタン文書登録V2 画面シミュレーション.
デスクトップを画像として保存する(1) ① デスクトップの画像をクリップボードへコピーする。
コントロールパネル ◎コントロール パネル: コンピュータのさまざまな機能を設定するための画面
情報処理 教材 プレゼンテーションソフト PowerPoint 高知大学 共通教育 理学部 対象 塩田
オンライン登記申請マニュアル 【第4段階】 オンライン登記申請編
コントロールパネル ◎コントロール パネル: コンピュータのさまざまな機能を設定するための画面
エクセル(1)の目次 起動法、ブック、シート、セル ブックの開き方 エクセル画面 マウスポインターの種類 シート数の調節 データの入力法
Verilog HDL 12月21日(月).
SunSpot環境構築.
Netscape Communicator Eudora Microsoft Word
Al-Mailのインストールと使い方 インストール –1 (pop-authの設定、Al-Mailのインストール用ファイルをダウンロード)
F5 を押すか、または [スライド ショー] > [最初から] をクリックして、コースを開始してください。
映像技術演習 第3回:撮影実習、映像の取り込み.
Fire Tom Wada IE dept. Univ. of the Ryukyus
メールの利用2 計算機実習室で Netscape 7.1 メール.
インターネットの設定①(モデムのインストール)
タブレットのビジネス活用を支援する法人向けファイル共有サービス
情報学部 プログラミング体験教室 (初級編)
神奈川県高体連 バドミントン専門部 選手登録&大会参加申込
ハードウェア記述言語による 論理回路設計とFPGAへの実装 2
第2回 Microsoft Visual Studio C++ を使ってみよう
九州大学キャンパスクラウド 利用法 情報ネットワーク特論 講義資料.
オーダー端末操作手順書 WideNet株式会社 Ver.2013/09/26.
ツールの紹介と新規起動 プロジェクト演習 CS28  川口 博.
Borland Delphi 6 でビジュアルプログラミング
発表に必要なPCソフト等 について 社会福祉援助技術論Ⅲ 長崎和則.
PCPU物理設計 ~マクロレベルの配置配線~
各種波形フォーマット変換 / タイミングダイアグラム描画ツール
初期設定マニュアル コナミスポーツクラブ情報ダイヤル 【お問い合わせ先】 0120-919-573 受付時間
コマンドプロンプトの起動と終了 最初に覚えるコマンド ディレクトリ構造とパス 各種コマンドの練習 Cコンパイルとプログラムの実行
Windows Vista ウィルスバスターインストール方法 ユーザーアカウント制御の無効化 ウィルスバスターのインストール
巡回冗長検査CRC32の ハード/ソフト最適分割の検討
単語登録(1) ◎MS-IMEの「単語登録」に、単語、語句、記号など自分がよく使うものを登録しておくと、便利である。
ゲームプログラミング講習  第3章 ゲーム作成 ブロック崩しを作ります ゲームプログラミング講習 第3章 ゲーム作成.
Windows XP  ウィルスバスターインストール方法.
母音継続時間を計測する.
Processing使用の準備.
スイッチを入れる前に… 講習を受けていない人は、まだスイッチを入れないこと。 まず講習を受けてセットアップを行ってください 注意.
ホームページビルダー 不要ファイル削除の仕方.
PC作業の一時停止 ●「スタンバイ(サスペンド)」: メモリには電源が供給される。実行中のデータがそのままメモリに保持されるため、作業を中断した状態から 数秒で再開ができる。省電力。 操作: 「スタート」⇒「電源オプション」⇒「スタンバイ」とクリックする。 ●「休止状態」: メモリの内容をハードディスクに退避してから全デバイスの電源をオフ。作業を中断した状態からの再開ができる。ノートPCでは電池が消耗しない。
エディタ完了からプログラムの実行までの方法
VLSI設計論第3回 順序回路の記述と論理合成
コンピュータ プレゼンテーション.
1.賞状 - 差し込み印刷 Excelで作成したデータを,Word文書に差し込んで,印刷する
Fire Tom Wada IE dept. Univ. of the Ryukyus
~目次~ Ⅰ.動作環境 Ⅱ.ファイルのダウンロード Ⅲ.システムのインストール Ⅳ.初期設定 Ⅴ.アンインストール
UNIX演習 情報ネットワーク特論資料.
UNIX演習 情報ネットワーク特論資料.
計算機工学特論 スライド 電気電子工学専攻 修士1年 弓仲研究室 河西良介
新メールアカウント 簡易設定法 神奈川技術士会 GoogleApps および 新メールアカウント 神奈川技術士会
E-精算インストール説明書.
情報基礎演習I(プログラミング) 第8回 6月8日 水曜5限 江草由佳
vc-1. Visual Studio C++ の基本操作 (Visual Studio C++ の実用知識を学ぶシリーズ)
単語登録(1) ◎MS-IMEの「単語登録」に、単語、語句、記号など自分がよく使うものを登録しておくと、便利である。
(大阪府 環境農林水産部 エネルギー政策課内)
Presentation transcript:

Quartus II 使用法 (ver 9 sp2, 一部ver. 5) SPP 2009.8.24 高知大学  高知大学理学部 本田理恵、三好康夫 参考 JFIT資料 練習⑤ 操作手順例QuartusⅡで回路設計

手順 HDLファイルの編集 プロジェクトの作成 コンパイル準備 再コンパイル シミュレーション プログラミング(FPGAへの書き込み) 3.1 ハードウェアセットアップ 3.2 (仮)コンパイル 3.3 ピンアサインメント 再コンパイル シミュレーション 5.1. 入力ファイルの作成 5.2. シミュレーション プログラミング(FPGAへの書き込み) 実機確認

起動 デスクトップのアイコン    をダブルクリックしてQuartusIIを起動

HDL編集 <File>→<New>を選択 Newで<Verilog HDL>を選択してOKをクリック ① ② ③

HDL編集 右側の編集画面にHDLを記入する モジュール名 // LEDB module LEDB(SW1,LED1,LED2); input SW1; output LED1,LED2; assign LED1=~SW1; assign LED2=SW1; endmodule モジュール名

ファイルの保存1 <File>→<Save As>を選択 ファイルを保存するディレクトリを作成して、”開く”をクリック 注意:ディレクトリ名とモジュール名は同じにする。 ① ② ③  ④ 

ファイルの保存2 ファイル名を入力して、“保存”をクリックし、“はい“をクリック  注意 ファイル名もモジュール名と同じにする ③ ② ①

プロジェクトの作成1 <Next>をクリック

プロジェクトの作成 1,2,3がモジュール名と同じになっていることを確認して<Next>をクリック ① ② ③ ワークディレクトリ プロジェクト トップ階層デザイン ① ② ③ プロジェクト名とトップ階層デザイン 名は同じ方がわかりやすので そろえる。トップ階層デザイン名 とモジュール名は同じにしないと エラーがでる。 ④

プロジェクトの作成2 必要なファイルが追加されていることを確認して<Next>をクリック ① ②

プロジェクトの作成3* 12

プロジェクトの作成4* 13

プロジェクトの作成5 14

コンパイル準備 《Assignments》→《Device》でデバイス設定ウィンドウを開

コンパイル準備(デバイス指定) ①デバイスを指定し、②Device&Pin Optionを開きます ② ① 16

コンパイル準備(デバイス指定) Configurationタブを開きます 17

コンパイル準備(デバイス指定) Configurationタブでデバイスを設定 ② 注意 EPCS1に修正 ① 18

コンパイル準備

仮コンパイル 《Processing》→《Compiler Tool》 スタートボタンを押し、コンパイルを実行する メッセージ: エラーは赤文字で表示される。 エラーメッセージをクリックするとHDLの該当箇所が示されるので,修正する

ピンアサインメント

ピンアサインメント 《Assignment》→《pins》 ピンアサイン表の通りにピン番号を設定しピンアサインを保存 この例では SW1  2番ピン LED1  47番ピン LED2 56番ピン 22

再コンパイル 《Processing》→《Compiler Tool》 コンパイルを実行する

シミュレーション準備 ファイル新規作成 Vector Wave File を選択 24

シミュレーション準備 信号名入力1 選択 25

シミュレーション準備 信号名入力2 ①Pins:allとする ②Listを選択 ⑤設定完了後 クリックする 26

シミュレーション準備 信号名入力3 OKをクリックする 27

シミュレーション準備 入力パターン作成 28

シミュレーション準備 入力パターン保存 ①ファイル保存を選択 LEDB LEDB 29

シミュレーション実行 ①Startを選択 ②シミュレーション完了後Report選択 ≪Processing≫→<Simulator Tool>を選択して、ファイルを指定 Startを押してシミュレーション開始。完了後Reportをおして結果確認 LEDB.vmf ①Startを選択 ②シミュレーション完了後Report選択

シミュレーション結果確認

プログラミング 《Tools》→《Programmer》で゙プログラミングウィンドウを開きます。 (このボタンも同じ)

プログラミング ーハードウェアの選択ー プログラミングウィンドウの《Hardware Setup…》でハードウェアセットアップウィンドウを開き、 使用するハードウェア(ダウンロードケーブル)を選択

プログラミング ーハードウェアの選択ー プログラミングモードをActive Serial Programming に 設定 36 【補足】 使用するデバイスとプログラミングモード選択の組み合せ デバイス プログラミングモード EPCSデバイス Active Serial Programming FLEX10Kデバイス Passive Serial Stratix,APEX,Cyclone,EPCデバイス JTAG AS: 搭載されているROM(EPCS1)に書き込む。電源を落と しても、回路の内容を保持することができる) JTAG: FPGA(Cyclone EP1C6Q240C8)に直接書き込む。電源 を落とすと、回路の内容は消去される

プログラミングファイルの設定 プログラミングウィンドウ左部にある各種ボタンでプログラミングファイルの指定操作を行う Active Serial Programming [Delete]・・・ファイルを選択してこのボタンをクリックすると、プログラミングファイルを削除できる [AddFile…]・・・プログラミングファイルを追加できる [ChangeFile…]・・・ファイルを選択してこのボタンをクリックすると、プログラミングファイルを変更できる

プログラミングオプションの指定 [Program/Configure]・・・データの書き込み Active Serial Programmingになっているはず [Program/Configure]・・・データの書き込み [Verify]・・・    デバイスとデータの比較 [Blank-Check]・・・デバイスが未書き込みかどうかのテェック [Examine]・・・  書き込み済みデバイスからデータを吸い上げる [Security Bit]・・・ オンにして書き込むことで、データを吸い上げられないようにする。            Verifyもできなくなる 38

プログラミングの開始 Startボタンをクリックすると設定した内容でプログラミングをスタートします 注意: [ Startボタンをクリックすると設定した内容でプログラミングをスタートします 注意: スタートする前にMU200-ECの電源を入れ、ダウンロードケーブルを接続しておく ByteBlaster使用時は、MU200-ECのJTAG_Bの1番ピンがケーブルの赤色ラインになる ように接続する。

プロジェクトの終了と再起動 終了したり、別のプロジェクトに移動するとき <File> →<Close Project>で現在のプロジェクトを終了 作成済みのプロジェクトを再編集するとき <File> →<Open Project>で 作成済みのプロジェクトファイルを指定

補足ー論理合成された回路と規模の確認ー  Quartus IIでコンパイル終了後、右下のReportを押す使用したロジックエレメント(論理素子)数が表示される

補足ー回路図の確認ー <Tools>→Netlist Viewer →Technology Map viewerを選択することによって、生成された回路図を確認できる。