Fire Tom Wada IE dept. Univ. of the Ryukyus

Slides:



Advertisements
Similar presentations
Quartus Ⅱの簡単な使い方 Combinatorial Logic (組み合わせ論理回路)( P.19 ~ 23 ) ① Implementing Boolean Expressions&Equations (ブール表現とブール式の書き方) ② Declaring Nodes (ノードの宣言)
Advertisements

1 WORD の起動法と終了法 ● WORD の起動法 (1) デスクトップの Microsoft Word アイ コンをダブルクリックする。 * (2) 「スタート」 ― 「すべてのプログラ ム」 ― 「 Microsoft Word 」と選ぶ。 (3) Word で作成された文書があるとき は、そのアイコンをダブルクリック.
1 PC の情報を得る - 「システム情報」 ①「スタート」 → 「すべてのプログラム」 → 「アクセサリ」 → 「システム ツール」 → 「システム情報」とクリックする。 ②左欄の項目を選択すると、右欄に情報が表示される。
BBT 大学 Ruby on Rails 開発環境セットアップマニュアル Mac 版 1.1 最終更新日: 2013/1/5.
論理回路 第 4 回 TkGate 実習 - 組み合わせ論理回路 38 号館 4 階 N-411 内線 5459
論理回路 第 12 回 TkGate 実習 - 順序回路 38 号館 4 階 N-411 内線 5459
ベイズ推定に向けた解析環境整備 WinBUGS, R2WinBUGS, RSWBwrapper.R のセッティング.
日本語でプログラミング ネコの絵を歩かせるプログラムを 作ってみましょう。 使うのは 手のひらサイズのコンピューター 名前は 「ラズベリーパイ」 美味しそうな名前 ですが コンピュータです。 2014/7/221.
BBT大学 Ruby on Rails開発環境セットアップマニュアル
実習1:コマンドシェルの使い方.
上手なプレゼンのための ワンポイント・アドバイス
PC作業の一時停止 ●「スタンバイ(サスペンド)」: メモリには電源が供給される。実行中のデータがそのままメモリに保持されるため、作業を中断した状態から 数秒で再開ができる。省電力。 操作: 「スタート」→「電源オプション」→「スタンバイ」とクリックする。 ●「休止状態」: メモリの内容をハードディスクに退避してから全デバイスの電源をオフ。作業を中断した状態からの再開ができる。ノートPCでは電池が消耗しない。
ハードウェア記述言語による 論理回路設計とFPGAへの実装 1
CCP Express 3.1 リカバリ&初期化ガイド
実習用サーバの利用開始手順 (Windowsの場合) TeraTerm Proをインストール 公開鍵をメールで送付
Capter9 Creating an Embedded Test Bench ( )
マイクロプロセッサ実験 情報工学実験第2 天野 .
デジタルポートフォリオ作成支援ツール PictFolio 使用マニュアル
PCの情報を得る - 「システム情報」 ①「スタート」→「すべてのプログラム」→「アクセサリ」→「システム ツール」→「システム情報」とクリックする。 ②左欄の項目を選択すると、右欄に情報が表示される。
情報処理 第12回の教材 プレゼンテーションソフト PowerPoint 高知大学 共通教育 理学部 対象 担当教員 : 塩田
Handel-C基礎 および 7セグとマウスのハンドリング
Verilog HDL 12月21日(月).
Quartus II 使用法 (ver 9 sp2, 一部ver. 5)
F5 を押すか、または [スライド ショー] > [最初から] をクリックして、コースを開始してください。
コンピュータについて学ぶ必要性 ● 現代社会での重要性。 ● 小規模事業所では、最も若い人が最も頼りにされる。
オリジナルなCPUの開発 指導教授:笠原 宏 05IE063 戸塚 雄太 05IE074 橋本 将平 05IE089 牧野 政道
ブロック線図によるシミュレーション ブロック線図の作成と編集 ブロック線図の保存と読込み ブロック線図の印刷 グラフの印刷
実習1:コマンドシェルの使い方.
画像処理ボード上での 高速テンプレートマッチングの 実装と検証
PIC制御による赤外線障害物 自動回避走行車
計算機アーキテクチャ特論 Chapter9(6-7)
音声処理ソフトPraatの使い方.
情報学部 プログラミング体験教室 (初級編)
Ski-Doo® Lynx™ Sea-Doo® Evinrude® Johnson® Rotax® Can-Am™
KiCadで IoT電子工作を はじめよう 補足資料
経営工学基礎演習a PowerPointの利用.
第2回 Microsoft Visual Studio C++ を使ってみよう
Aptana Studio 3 に Android ADT プラグインを インストールする方法
ICトレーナーの構成 7セグメントLED ブレッドボード XOR OR AND NAND 電源端子 スイッチ端子 LED端子 データLED
ツールの紹介と新規起動 プロジェクト演習 CS28  川口 博.
Borland Delphi 6 でビジュアルプログラミング
PCPU物理設計 ~マクロレベルの配置配線~
コンピュータ系実験Ⅲ 「ワンチップマイコンの応用」 第3週目
マイコンによるLEDの点灯制御 T22R003 川原 岳斗.
三浦元喜 北陸先端科学技術大学院大学 知識科学研究科 2007/9/7
☆製品についてのご質問はサポートセンターへご連絡ください
3.1 PowerPoint の概要 PowerPointを使ってできること
ICトレーナーの構成 7セグメントLED ブレッドボード XOR OR AND NAND 電源端子 スイッチ端子 LED端子 データLED
ゲームプログラミング講習  第3章 ゲーム作成 ブロック崩しを作ります ゲームプログラミング講習 第3章 ゲーム作成.
eラーニング推進機構eラーニング授業設計支援室
Windows XP  ウィルスバスターインストール方法.
Processing使用の準備.
ホームページビルダー 不要ファイル削除の仕方.
ディジタル回路の設計と CADによるシステム設計
ICトレーナーの構成 7セグメントLED ブレッドボード XOR OR AND NAND 電源端子 スイッチ端子 LED端子 データLED
プロジェクトの エクスポートとインポート 2014/10/7.
Visual Studio 2005の使用方法 新入生セミナー 
PC作業の一時停止 ●「スタンバイ(サスペンド)」: メモリには電源が供給される。実行中のデータがそのままメモリに保持されるため、作業を中断した状態から 数秒で再開ができる。省電力。 操作: 「スタート」⇒「電源オプション」⇒「スタンバイ」とクリックする。 ●「休止状態」: メモリの内容をハードディスクに退避してから全デバイスの電源をオフ。作業を中断した状態からの再開ができる。ノートPCでは電池が消耗しない。
合成用システムの使い方 計算機構成用 天野.
デジタルカメラで プレゼンテーションしよう
情報基礎演習I(プログラミング) 第11回 7月12日 水曜5限 江草由佳
Fire Tom Wada IE dept. Univ. of the Ryukyus
情報検索演習 第2回補足資料:USBメモリの使い方
[3] 電子回路の製作 目的 OPアンプ(演算増幅器)を使用した小規模な 電子回路を製作し、その基本動作を確認する。 反転アンプ製作
情報基礎演習I(プログラミング) 第8回 6月8日 水曜5限 江草由佳
eラーニング推進機構eラーニング授業設計支援室
7シリーズFPGA 評価キット販売開始 Kintex-7 FPGA KC705 評価キット
直流電圧や直流電流を ある範囲で変化(スイープ)させて その時の出力を解析します 1.7 DC解析 直流の電圧や電流の静特性を解析.
素子のばらつきが特性に与える影響を調べます。 ここでは,RCフィルタ回路の 抵抗の誤差1%,コンデンサの誤差5% とします。
メモリアルボックス(回線不要)マニュアル
Presentation transcript:

Fire Tom Wada IE dept. Univ. of the Ryukyus CAD 00 -最初のML403ボード利用- Fire Tom Wada IE dept. Univ. of the Ryukyus

ML403ボードの接続 電源スイッチ FPGA XC4VFX12 -10 DIP スイッチ 4bit LED ACアダプタ接続 コンパクトFLASH はあってもなくてもよい PCとのUSB接続 USBケーブルBOXのランプ点灯 ボード右上の電源スイッチON

FPGA内にカウンターを作りLEDを点滅させる(1) ツールを立ち上げ、必要なファイルを加える Xilinx ISE Project Navigator起動 File -> New Project %新しい設計プロジェクトを作る プロジェクト名を入力 new00 FPGAパラメータ等入力 Virtex4 XC4VFX12 FF668 -10 Finish %プロジェクトが完成 Project-> Add copy of sourceで以下の3つのファイルを加える counter.vhd Association = ALL %シミュレーションにもFPGAにも使用 test_counter.vhd Association = Simulation %シミュレーションじのみ用 System.ucf Association = Implementation %FPGA生成時のみ使用

プロジェクト名入力

Project-> Add copy of sourceで 3つのファイルを加えた後

FPGA内にカウンターを作りLEDを点滅させる(2) 動作シミュレーション Designウインドウの Simulationボタンを押す %シミュレーションモード test_counter - behavior (test_counter.vhd)を選択する テストベンチを選択しないとシミュレションできません  注意です ISim Simulatorをクリックし、Simulate Behavioral Modelをダブルクリック %シミュレーション開始 Isimツールで Simulation- > Run all で実行 Simulation ->Breakでストップ View -> Zoom -> In やOutで拡大縮小 シミュレーションで動作を確認 Isimを終了

Isim実行 350msまでの結果

FPGA内にカウンターを作りLEDを点滅させる(3) VHDL記述から回路を生成し、FPGAに転送 Designウインドウの Imprementationボタンを押す  %FPGA制作モード 下側ウインドウのGenerate Programming Fileをダブルクリック 回路を合成し、FPGA内部に配置し配線するので、時間かかる Process “Generate Programming File” completed successfullyがでればデータ完成 Tools -> iMPACT起動 ISE iMPACTで edit -> Launch wizard Automatically connect to a cable and identify Boundary-Scan chainを選択し、OK その後、no, cancelで次ページの図がでる。 図のFPGAで右クリック Assign new configuration fileでcounter.bitを設定 図のFPGAで右クリック ProgramでFPGAにデータを流し込む ボード右下のLEDが点滅する。

ML403ボード上のJTAGの接続図 FPGA XC4VFX12 -10

プログラム用ビットファイルが表示 Bitファイル

LEDが点滅する

ボード上の部品の接続