集積回路 2. VLSIの設計から製造まで 松澤 昭 2004年 9月 2004 9月 新大 集積回路.

Slides:



Advertisements
Similar presentations
計算機工学特論A 10/17  テキスト内容 1.1~1.4.
Advertisements

ノーマリ「オフ」と「オン」 九州大学 井上こうじ (ただのお友達).
VLSI設計論第4回 アキュムレータマシンと 仮遅延シミュレーション
アナログ分野でのプロセス・デバイス技術 東京工業大学 松澤 昭 A. Matsuzawa,Titech.
ハードウェア記述言語による 論理回路設計とFPGAへの実装 1
LZ圧縮回路の設計とハード・ソフト 最適分割の検討 電子情報デザイン学科 高性能計算研究室 4回生 中山 和也 2009/2/27.
Riding the Design Wave II
10. メモリ 五島 正裕.
Capter9 Creating an Embedded Test Bench ( )
第10回 電力見積もりと省電力設計 慶應義塾大学 理工学部 天野.
発表内容 研究背景・目的 伝送線路の構造 伝送線路間カップリングシミュレーション - 1段増幅器シミュレーション
発表内容 研究背景と課題 クロスカップルキャパシタ PAの設計手法 測定結果 2010/03/01 H. Asada, Tokyo Tech.
SOIピクセル検出器用 Digital Libraryの開発
Isao Matsushima, Toshihisa Tomie
5.3 各種カウンタ 平木.
日本・北九州研究拠点における 協力プロジェクトの簡単な紹介
Handel-C基礎 および 7セグとマウスのハンドリング
Verilog HDL 12月21日(月).
Rearrangeable NoC: 配線遅延を考慮した分散ルータ アーキテクチャ
ストレージ・メモリ分野の技術マップ ストレージ・メモリデバイス 新規デバイス ● ● ● ● ● ● ● ● ● 凡例 項目 開発技術 分類
シミュレーション物理5 運動方程式の方法: サブルーチンの使い方.
ARM 株式会社アプライド・マーケティング 大越 章司
ネットワーク情報概論 ネットワーク情報化社会を支える半導体産業 -- 技術と歴史 --
Fire Tom Wada IE dept. Univ. of the Ryukyus
11. 省電力 五島 正裕.
集積回路 6.回路・レイアウト設計 松澤 昭 2004年 9月 2004年 9月 新大VLSI工学.
4.2.2 4to1セレクタ.
画像処理ボード上での 高速テンプレートマッチングの 実装と検証
Tohoku University Kyo Tsukada
ガス増幅検出器読み出し用フロントエンド ASIC
計算機工学特論A 第4回 論理合成 山越研究室 増山 知東 2007年11月7日 .
VLSI設計論 慶應義塾大学 理工学部 情報工学科 山﨑 信行
組み込み向けCPU 小型デバイスに搭載されるCPU 特徴 携帯電話,デジタルカメラ,PDA,センサデバイスなど 小型 低消費電力 多機能
ハードウェア記述言語による 論理回路設計とFPGAへの実装 2
汎用テストベンチ作成ツール を用いた シミュレーションからテストまで
第8回  論理ゲートの中身と性質 論理ゲートについて,以下を理解する 内部構成 遅延時間,消費エネルギー 電圧・電流特性 瀬戸.
ダブルテールラッチ型コンパレータと プリアンプを用いたコンパレータの 性能比較
OpenMPハードウェア動作合成システムの検証(Ⅰ)
電界効果トランジスタの動作原理 トランジスタを用いた回路のバイアス
計算機工学特論A   テキスト内容 5.6.
ATLAS実験 SOI Transistor TEG の測定
高速剰余算アルゴリズムとそのハードウェア実装についての研究
電界効果トランジスタの動作原理 トランジスタを用いた回路のバイアス
Niシリサイド電極形成にともなう 欠陥導入過程の考察
「グローバルものづくり」 を加速させる ISID の “JT 活用” ソリューション群
PCPU物理設計 ~マクロレベルの配置配線~
第8回 バックエンド設計その2 配線前処理 慶應義塾大学 理工学部 天野.
コンピュータの歴史 ~1945年からの実用過程~ メンバー:秋田梨紗 (1E16M001-1) 梅山桃香 (1E16M010-2)
巡回冗長検査CRC32の ハード/ソフト最適分割の検討
3 次元構造インダクタと底面配置回路を用いた484-mm2 21-GHz LC-VCO
ディジタル回路の設計と CADによるシステム設計
電気電子情報第一(前期)実験 G5. ディジタル回路
3. 論理ゲート の 実現 五島 正裕.
最新 IT トレンド ARM.
計算機構成 第3回 データパス:計算をするところ テキスト14‐19、29‐35
計算機構成 第4回 アキュムレータマシン テキスト第3章
VLSI設計論第3回 順序回路の記述と論理合成
超伝導回路を用いた 物理乱数発生回路の研究
Ibaraki Univ. Dept of Electrical & Electronic Eng.
ミリ波帯電力増幅器における 発振の検証 ○松下 幸太,浅田 大樹,高山 直輝, 岡田 健一,松澤 昭 東京工業大学
信号伝搬時間の電源電圧依存性の制御 による超伝導単一磁束量子回路の 動作余裕度の改善
関数型言語による Timed CSP 検証技法の提案
Fire Tom Wada IE dept. Univ. of the Ryukyus
Ibaraki Univ. Dept of Electrical & Electronic Eng.
計算機工学特論 スライド 電気電子工学専攻 修士1年 弓仲研究室 河西良介
コンピュータの五大要素 入力装置 データ(プログラム)を取り込む 出力装置 処理結果のデータを外部に取り出す
ARM 株式会社アプライド・マーケティング 大越 章司
ARM 株式会社アプライド・マーケティング 大越 章司
信号伝搬時間の電源電圧依存性の制御 による超伝導単一磁束量子回路の 動作余裕度の改善
Ibaraki Univ. Dept of Electrical & Electronic Eng.
Presentation transcript:

集積回路 2. VLSIの設計から製造まで 松澤 昭 2004年 9月 2004 9月 新大 集積回路

集積回路 1. VLSIとは? 2.VLSIの設計から製造まで 3. MOSトランジスタとCMOS論理回路 4.メモリー回路 6. 回路・レイアウト設計 7. 論理設計とテスト 8. アナログ・デジタル混載集積回路 9. スケーリング則と低消費電力化設計 10.システムLSIとVLSIの今後 2004 9月 新大 集積回路

MOSトランジスタとCMOS論理回路 2004 9月 新大 集積回路

MOSトランジスタ 2004 9月 新大 集積回路

トランジスタの構造 Poly Silicon W SiO2 p型基盤 n n L 2004 9月 新大 集積回路

LSI 製造工程:基本 熱酸化 ① ③ 熱酸化 ② ④ LSIは露光・エッチング・酸化・成長などで作られる 露光・現像 窒化膜エッチング ゲート酸化膜 窒化膜 酸化膜 ① ③ CVD (化学気相成長) 熱酸化 素子間分離酸化膜 多結晶Si膜 ② ④ 2004 9月 新大 集積回路

IC製造工程:基本 ⑤ ⑦ ⑥ ⑧ ゲート イオン注入 ゲート 更にイオン注入・配線金属形成なども用いられる 露光・現像 多結晶Si膜エッチング 絶縁膜 ゲート電極形成 ⑤ ⑦ コンタクト アルミ配線 ⑧ ゲート ソース ドレイン イオン注入 ソース・ドレイン形成 ゲート ⑥ 2004 9月 新大 集積回路

製造工程:最新 最新のシリコンプロセスではSTI( Shallow Trench Isolation) シリサイド形成、ポケット注入などの技術が用いられている。 ● 分離形成 Psub STI STIエッチング 側壁酸化 NSG成長 CMP ● ウエル注入 Pwell注入 チャンスト注入 Vt注入 アニ-ル ● ゲート酸化、ゲート形成 ゲート酸化 ゲート注入 ゲート形成 ● ソース、ドレイン(LDD)形成 ポケット注入 RTA熱処理 ● サイドウオール形成、S/D注入 S/D注入 Coスパッタ 2004 9月 新大 集積回路 National Panasonic

CMOS論理回路 2004 9月 新大 集積回路

VLSIの設計フロー システム設計から物理設計まで 2004 9月 新大 集積回路

VLSI設計フロー 機能設計 論理合成 論理設計 マスク設計 プロセス テスト 論理図と論理シミュレーション 機能図によるLSIの機能設計 1チップ自動レイアウト 標準セル レチクル(ガラス原板) MN3456 所望の動作を実現する為の HDLを論理合成ソフト 論理が正しいことを 論理図をもとに標準セル 出来上がったチップの LSIの機能を機能図又は により論理図に変換 シミュレーションで ROM/RAM等の部品を 動作の検証 HDLで記述し検証 確認 配置・配線 タイミング図 ck (1kHz) keyck (25Hz) reset_ sw res re 1 s 機能図によるLSIの機能設計 module KeyScan(CLOCK,RESET,SIN,SCAN,VAL) input CLOCK,RESET [3:0] SIN; output SCAN,VAL; reg clk,rst; always @(posedge clk or psedge rst) begin if(rst) r_scan <= 4'd0; else case(Init) 1'b1:r_scan <= 4'd8; // Cobstant: r_scan[3:0] 1'b0: case( Scanning ) 1'b1:r_scan <= { r_scan[3] , r_scan[2] , r_scan [1] } 1'b0:r_scan <= r_scan; default: r_scan <= 4'bx; endcase end 自動配置配 線 VDD VSS ハードウェア記述言語(HDL) によるLSIの機能設計 2004 9月 新大 集積回路

アプリケーション・ 設計フェーズに応じた適切なIPモデルを提供 QuickTurn/Mercury・CoBALT システムレベル設計フロー システム仕様モデル SES/Workbench 仕様設計 プロトタイピング 性能解析 動作モデル C C、C++、Cadence/SPW Synopsys/COSSAP他 システム検証 アルゴリズム設計 検証モデル ハードソフト分割 CoWare/N2C 性能モデル ハードウェア 組み込みソフト HW/SW 協調設計 システム設計 合成モデル ハード動作記述 C・RTC IP アプリケーション・ 設計フェーズに応じた適切なIPモデルを提供 (CPU、ペリヘラル、 メモリなどのモデル) 高位合成 QuickTurn/Mercury・CoBALT エミュレーション 協調検証 RTL記述 C チップインプリ 設計 機能・論理検証 協調検証 2004 9月 新大 集積回路

アーキテクチャ設計 2004 9月 新大 集積回路

動作記述 2004 9月 新大 集積回路

-- KUE-CHIP2 RTL Description in VHDL Version 0. 30 1997/Jul -- KUE-CHIP2 RTL Description in VHDL Version 0.30 1997/Jul./07 -- Copyright 1997 ASTEM RI Written by H. Kanbara library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use WORK.pkg_kue2.all; entity kue_chip2 is port(CLOCK_p : in std_logic; RESET_p : in std_logic; DBI_p : in std_logic_vector(7 downto 0); SP_p : in std_logic; SI_p : in std_logic; SS_p : in std_logic; SET_p : in std_logic; ADR_INC_p : in std_logic; ADR_DEC_p : in std_logic; OBS_SEL_p : in std_logic_vector(3 downto 0); IBUF_FLG_IN_p : in std_logic; OBUF_FLG_IN_p : in std_logic; OP_p : out std_logic; PHASE_p : out std_logic_vector(4 downto 0); AB_p : out std_logic_vector(8 downto 0); OB_p : out std_logic_vector(7 downto 0); DBO_p : out std_logic_vector(7 downto 0); MEM_OB_p : out std_logic; MEM_RE_p : out std_logic; MEM_WE_p : out std_logic; IBUF_FLG_CLR_p : out std_logic; IBUF_RE_p : out std_logic; PANEL_RE_p : out std_logic; OBUF_WE_p : out std_logic ); end kue_chip2; VHDL記述 2004 9月 新大 集積回路

Verification Navigator RTL設計品質を高める RTL RTL構文チェック RT qualify module top(clk,i1,i2,o1,o2); input clk; input i1,i2; output o1,o2; assign w1 = i1 & i2; assign w2 = i1 | i2; always @(posedge clk) begin o1 = w1; end o2 = w2; endmodule 後工程の制約違反を事前に回避 コードカバレッジ チェック Verification Navigator テストベクタ 10100110111 0011 00111100101 1101 …………………… RTLの機能検証抜けをなくす 2004 9月 新大 集積回路

論理合成 2004 9月 新大 集積回路

動作の検証 2004 9月 新大 集積回路

フロアプラン(物理)と論理合成とテスト設計 ブロック間タイミング調整 フロアプラン実施 長距離配線の発見 長距離配線に対し自動で対策 処理時間:約3分 挿入バッファ数:約2500 工数削減効果:数日→約3分 2004 9月 新大 集積回路

フロアプランと論理合成 合成条件の生成 合成用ブロック入出力 タイミング制約を生成 2004 9月 新大 集積回路 set_input_delay 0.011302 -clock [get_clocks {clk81s}] -fall -min -add_delay [get_ports {iopctr1db_11}] set_input_delay 7.537164 -clock [get_clocks {clk81s}] -rise -max -add_delay [get_ports {ipdrcr_21}] set_input_delay 0.049733 -clock [get_clocks {clk81s}] -rise -min -add_delay [get_ports {ipdrcr_21}] 合成用ブロック入出力 タイミング制約を生成 2004 9月 新大 集積回路

配置合成 物理設計技術と論理タイミング技術を融合。 配置合成により高速高密度可能な配置を実現 できるようになった。 従来手法 タイミング違反 2004 9月 新大 集積回路

実配線タイミング検証 IR-Drop閾値を満足 歩留り向上 電圧降下の解析 2004 9月 新大 集積回路

現在の配線 Cu Interconnect MOS Tr 現在はAlからCuに配線材料が変化している。 民生用SoCでも配線層数は6層以上が多く、配線間は柱のようなスタックトビアで接続されている。 0.5um MOS Tr W plug Cu Interconnect 松下電器 2004 9月 新大 集積回路

アナ・デジ混載SoC:DVDの完全ワンチップ化 高性能アナログを含むDVDの全機能を0.13um技術を用いてワンチップに集積した。 0.13um, Cu 6Layer, 24MTr Okamoto, et al., ISSCC 2003 We succeeded in the development of mixed signal SoC which integrates full DVD system using Matsushita’s Cu 6 layer 0.13um technology and published it on this ISSCC 2003. The paper was selected as best three papers in this ISSCC. Point is not circuit technology itself, but totally high design quality to integrate full system in advanced process. We need new development strategy and design system to develop it in a short time with high design quality. 2004 9月 新大 集積回路

チップと入出力ピン 回路領域 回路領域の1辺 a 回路領域の面積 Pinの幅 b チップのpin数 A = a2 Pinの幅 b チップのpin数 4(a/b) 面積が4倍になってもピン数は2倍にしかならない. 回路領域 a b 2004 9月 新大 集積回路

集積回路技術の特性 同一回路の大量生産によるコスト低減 微細化による性能改善 消費電力の低減 集積化による信頼性向上 2次元平面上のレイアウトによる制限 入出力ピンの制限 2004 9月 新大 集積回路

VDEC (東京大学大規模集積システム設計教育研究センター)の利用 http://www.vdec.u-tokyo.ac.jp/ 大規模集積回路を設計しよう VDEC (東京大学大規模集積システム設計教育研究センター)の利用 http://www.vdec.u-tokyo.ac.jp/ 2004 9月 新大 集積回路

VDECの役割 2004 9月 新大 集積回路

VDECの試作サービスの流れ 2004 9月 新大 集積回路