VLSI設計論 慶應義塾大学 理工学部 情報工学科 山﨑 信行

Slides:



Advertisements
Similar presentations
1 情報基礎 A 第 9 週 プログラミング入門 VBA の基本文法 1 準備・変数・データの入出力 徳山 豪・全 眞嬉 東北大学情報科学研究科 システム情報科学専攻 情報システム評価学分野.
Advertisements

論理回路 第 4 回 TkGate 実習 - 組み合わせ論理回路 38 号館 4 階 N-411 内線 5459
プログラミング言語論 第10回(演習) 情報工学科 木村昌臣   篠埜 功.
情報・知能工学系 山本一公 プログラミング演習Ⅱ 第3回 配列(1) 情報・知能工学系 山本一公
計算機工学特論A 10/17  テキスト内容 1.1~1.4.
情報理工学系研究科 コンピュータ科学専攻 上嶋裕樹
VLSI設計論第4回 アキュムレータマシンと 仮遅延シミュレーション
情報理工学部 情報システム工学科 3年 H 井奈波 和也
ハードウェア記述言語による 論理回路設計とFPGAへの実装 1
情報・知能工学系 山本一公 プログラミング演習Ⅱ 第4回 配列(2) 情報・知能工学系 山本一公
Capter9 Creating an Embedded Test Bench ( )
FPGA・CPLDとASIC ディジタル回路最終回 天野英晴.
第10回 電力見積もりと省電力設計 慶應義塾大学 理工学部 天野.
SOIピクセル検出器用 Digital Libraryの開発
計算機システムⅡ 主記憶装置とALU,レジスタの制御
CPU実験 第1回中間発表 4班 瀬沼、高橋、津田、富山、張本.
6/19 前回復習 for文による繰り返し計算 演習1:1から10まで足して画面に結果を表示する 提出者: 1人
坂井 修一 東京大学 大学院 情報理工学系研究科 電子情報学専攻 東京大学 工学部 電気工学科
Accessによる SQLの操作 ~実際にテーブルを操作してみよう!~.
第1回 ガイダンス 工学部担当 教員 吉岡 理文 ・ 岩村 雅一
早稲田大学大学院理工学研究科 情報科学専攻修士2年 後藤滋樹研究室 坂本義裕
Handel-C基礎 および 7セグとマウスのハンドリング
Verilog HDL 12月21日(月).
ファイルシステムとコマンド.
Fire Tom Wada IE dept. Univ. of the Ryukyus
VLSI設計論第2回 組み合わせ回路の記述と 論理シミュレーション
プログラミング言語論 プログラミング言語論 ガイダンス 水野 嘉明 ガイダンス 1 1.
計算機構成 第9回 POCOの性能評価と論理合成 テキスト7章
画像処理ボード上での 高速テンプレートマッチングの 実装と検証
 データベースによる並列処理 情報論理工学研究室  三宅健太.
計算機工学特論A 第4回 論理合成 山越研究室 増山 知東 2007年11月7日 .
シミュレーション演習 G. 総合演習 (Mathematica演習) システム創成情報工学科
ハードウェア記述言語による 論理回路設計とFPGAへの実装 2
計算機構成 第1回 ガイダンス VerilogHDLのシミュレーション環境
汎用テストベンチ作成ツール を用いた シミュレーションからテストまで
6. 順序回路の基礎 五島 正裕.
OpenMPハードウェア動作合成システムの検証(Ⅰ)
高速剰余算アルゴリズムとそのハードウェア実装についての研究
言語プロセッサ2007 平成19年9月26日(水) (Ver.2 平成19年10月3日変更)
PCPU物理設計 ~マクロレベルの配置配線~
第8回 バックエンド設計その2 配線前処理 慶應義塾大学 理工学部 天野.
第6回 dc_shellを使った論理合成 慶應義塾大学 理工学部 天野.
各種波形フォーマット変換 / タイミングダイアグラム描画ツール
巡回冗長検査CRC32の ハード/ソフト最適分割の検討
社会シミュレーションのための モデル作成環境
Ibaraki Univ. Dept of Electrical & Electronic Eng.
ディジタル回路の設計と CADによるシステム設計
プログラミング言語Ⅰ(実習を含む。), 計算機言語Ⅰ・計算機言語演習Ⅰ, 情報処理言語Ⅰ(実習を含む。)
計算機構成 第2回 ALUと組み合わせ回路の記述
コンピュータアーキテクチャ 第 7 回.
コンピュータアーキテクチャ 第 7 回.
計算機構成 第3回 データパス:計算をするところ テキスト14‐19、29‐35
計算機構成 第4回 アキュムレータマシン テキスト第3章
東京工科大学 コンピュータサイエンス学部 亀田弘之
VLSI設計論第3回 順序回路の記述と論理合成
プログラミング言語論 第四回 理工学部 情報システム工学科 新田直也.
情報とコンピュータ 静岡大学工学部 安藤和敏
Fire Tom Wada IE dept. Univ. of the Ryukyus
坂井 修一 東京大学 大学院 情報理工学系研究科 電子情報学専攻 東京大学 工学部 電気工学科
高度情報演習1A スクリーンセーバ作成 2016年4月13日 情報工学科 篠埜 功.
Ibaraki Univ. Dept of Electrical & Electronic Eng.
コンピュータアーキテクチャ 第 9 回.
Handel-Cを用いた パックマンの設計
計算機工学特論 スライド 電気電子工学専攻 修士1年 弓仲研究室 河西良介
情報基礎Ⅱ (第1回) 月曜4限 担当:北川 晃.
ガイダンス 電子計算機 電気工学科 山本昌志 1E
プロセッサ設計支援ツールを用いた 独自プロセッサの設計
コンピュータアーキテクチャ 第 9 回.
プログラムの開発手順 1.プログラム設計(仕様の決定) 2.コーディング(ソースファイルの作成) 3.アセンブル(オブジェクトファイル
1.2 言語処理の諸観点 (1)言語処理の利用分野
Presentation transcript:

VLSI設計論 慶應義塾大学 理工学部 情報工学科 山﨑 信行 E-mail: yamasaki@ics.keio.ac.jp 今年のみ代講 天野 hunga@am.ics.keio.ac.jp 慶應義塾大学 理工学部 情報工学科 山﨑 信行 E-mail: yamasaki@ics.keio.ac.jp URL: http://www.ny.ics.keio.ac.jp

概要 (1/3) フロントエンド設計(HDLを用いたLSI設計手法) 論理設計 論理検証(シミュレーション) 論理合成(ネットリスト作成) Verilog-HDLの文法 論理検証(シミュレーション) Cadence社Verilog-XL (Interpreter) Cadence社NC-Verilog (Compiler) 論理合成(ネットリスト作成) Synopsys社Design Compiler (for ASIC) Synopsys社FPGA Compiler II (for FPGA/CPLD) 論理検証(仮配線シミュレーション)

概要 (2/3) 16bit RISC Processor (PICO-16)の設計実習 DMACの設計演習 命令フォーマット パイプライン DMACの設計演習 CPUとDMACを用いたシステム設計演習

概要 (3/3) バックエンド設計 レイアウト、配置配線(P&R)、クロックツリー生成(CTS) データベース RC抽出 Synopsys社(旧Avant!社) Apollo/Astro データベース Synopsys社(旧Avant!社) Milkyway RC抽出 Synopsys社(旧Avant!社) Star-RCXT 静的タイミング検証(STA) Synopsys社 PrimeTime 検証(LVS, DRC) Synopsys社(旧Avant!社) Hercules Mentor社 Caribre

HDL HDL( Hardware Description Language) Verilog-HDL VHDL SFL AHDL OrCAD HDL … ハードウェア記述言語(HDL)には、様々なものが存在するが、現在、最もメジャーなHDLは、 ・Verilog-HDL ・VHDL のふたつである。SoC設計に関する記述能力に大差はない。しいて挙げれば、VHDLの方が厳密に記述できる反面、記述が煩雑である。Verilog-HDLは、記述が簡易な反面、大規模なシステム記述には向かない。歴史的には、Verilog-HDLは、CADメーカが考案したシミュレーション言語がデファクトスタンダードになったものであり、VHDLはDODが公募によって決定したデジュールスタンダードである。Verilog-HDLの文法はC言語ににており、VHDLはAda言語に似ている。また、CPU等はVerilog-HDLで記述されている場合が多く、I/O(PCI,USB,NIC等)はVHDLが多いという傾向がある。

Verilog-HDL RTL (Register Transfer Level) BL (Behavior Level) 論理合成可能 記述に制限 設計すべきハードウェアを記述 BL (Behavior Level) 論理合成不可能 記述に制限なし 検証モジュール(テストベクトル)を記述

SFLとVerilog HDLの違い クロックを明示的に記述する。 条件を付けない文は並列に実行される。 複数クロック、立ち上がり、立ち下り、非同期リセットの記述が可能 条件を付けない文は並列に実行される。 alt文に対応するswitch文があるが制約がある シミュレーション用テストベンチをBehavior Levelで記述 secondsのスクリプトに対応する部分は言語中に記述 合成不可能な部分との混在に注意 Cライクではなく、Pascalライク 実はPascalライクでもなく、変な構文もあるが、あまり気にしてはいけない 言語上はビット幅等に寛容でなんでもできてしまう 合成時にWarningが出るので注意

非常に簡単な例題 加減算器の設計 文法は坂本氏作のまとめがweb上に掲載 addsub.v : sflを知っている人は簡単すぎてびっくりするかも my.h: defineは別ファイルに入れておき、共通化する addsub_test.v: テストベンチがもしかするとより面倒で理解しにくいかも 文法は坂本氏作のまとめがweb上に掲載

宿題 アカウントを取って、addsub.vをコピー sub入力を2ビットのcom[`ComData]に変更 cp ~hunga/vlsi07/hunga/addsub.v . sub入力を2ビットのcom[`ComData]に変更 “my.h”に`define ComData 1:0と入れておく comが00ならば、inaとinbの論理積 comが01ならば、inaとinbの論理和 comが10ならば、ina+inb comが11ならば、ina-inb とする記述を作れ verilog addsub.vだけやってみる。エラーがでなければこれを提出