巡回冗長検査CRC32の ハード/ソフト最適分割の検討

Slides:



Advertisements
Similar presentations
OWL-Sを用いたWebアプリケーションの検査と生成
Advertisements

Signal Masterによる フィルタバンクの実装
Chapter11-4(前半) 加藤健.
LZ圧縮回路の設計とハード・ソフト 最適分割の検討 電子情報デザイン学科 高性能計算研究室 4回生 中山 和也 2009/2/27.
京都大学情報学研究科 通信情報システム専攻 湯淺研究室 M2 平石 拓
Capter9 Creating an Embedded Test Bench ( )
電子回路設計 電子制御設計製図Ⅰ  2009年11月17日 Ⅳ限目.
OJT研修 「テスト実施、テスト設計の技術習得」 日時: 8月22日(月)  場所: 本社5階.
USB2.0対応PICマイコンによる データ取得システムの開発
Handel-C基礎 および 7セグとマウスのハンドリング
Verilog HDL 12月21日(月).
神奈川大学大学院工学研究科 電気電子情報工学専攻
第三章 ディジタル符号変換の基礎 3・1PCMパルス符号変換 3・2符号変換 3・3通信路符号形式 3・4スクランブル.
Handel-Cによる       エアホッケー.
遺伝アルゴリズムによる NQueen解法 ~遺伝補修飾を用いた解探索の性能評価~
早稲田大学 理工学術院 基幹理工学部 情報理工学科 後藤滋樹
「まめだくん Ver.1.0」 特徴と利用方法.
オリジナルなCPUの開発 指導教授:笠原 宏 05IE063 戸塚 雄太 05IE074 橋本 将平 05IE089 牧野 政道
FPGAを用いたMG3用 インターフェース回路の解説
2012年度 情報数理 ~ QRコードを作ろう!(1) ~.
2008年度 情報数理 ~ QRコードを作ろう!(1) ~.
4.2.2 4to1セレクタ.
システム開発実験No.7        解 説       “論理式の簡略化方法”.
プログラムはなぜ動くのか.
画像処理ボード上での 高速テンプレートマッチングの 実装と検証
オープンソフトウェア利用促進事業 第3回OSSモデルカリキュラム導入実証
ネットワーク性能に合わせた 分散遺伝的アルゴリズムにおける 最適な移住についての検討
10.通信路符号化手法2 (誤り検出と誤り訂正符号)
【様式2】ソリューション提案記述様式 ソリューションの特徴 課題解決のために提案するソリューションの特徴(メリット)を記述する。 記述内容
演算/メモリ性能バランスを考慮した マルチコア向けオンチップメモリ貸与法
2010年度 情報数理 ~ QRコードを作ろう!(1) ~.
ハードウェア記述言語による 論理回路設計とFPGAへの実装 2
望月 祐洋,由良 淳一,楠本 晶彦 {moma, yurayura,
プログラム実行履歴を用いたトランザクションファンクション抽出手法
Copyright Yumiko OHTAKE
Occam言語による マルチプリエンプティブシステムの 実装と検証
プログラム実行時情報を用いたトランザクションファンクション抽出手法
第6回 よく使われる組合せ回路 瀬戸 重要な組合せ回路を理解し、設計できるようにする 7セグディスプレイ用デコーダ 加算回路・減算回路
OpenMPハードウェア動作合成システムの検証(Ⅰ)
電子回路設計 電子制御設計製図Ⅰ  2010年11月30日 Ⅲ限目.
高速剰余算アルゴリズムとそのハードウェア実装についての研究
序章 第2節 教育機器とコンピュータ 1 パーソナルコンピュータ
1.コンピュータと情報処理 p.18 第1章第1節 2.コンピュータの動作のしくみ CPUと論理回路
5 テスト技術 5.1 テストとは LISのテスト 故障診断 fault diagnosis 故障解析 fault analysis
Ibaraki Univ. Dept of Electrical & Electronic Eng.
TIME SIGNAL: 集合知を利用した赤信号点灯時間の取得手法
通信機構合わせた最適化をおこなう並列化ンパイラ
レポート提出者のリスト 次のURLに掲載 ~goto/infomath.html 学内のIPアドレスからのみ閲覧 ( )
各種ソート回路のハードウェア化と ハード/ソフト最適分割化の検討
ディジタル回路の設計と CADによるシステム設計
計算機構成 第2回 ALUと組み合わせ回路の記述
計算機構成 第3回 データパス:計算をするところ テキスト14‐19、29‐35
Ibaraki Univ. Dept of Electrical & Electronic Eng.
コンピュータの仕組み 〜ハードウェア〜 1E15M009-3 伊藤佳樹 1E15M035-2 柴田将馬 1E15M061-1 花岡沙紀
Ibaraki Univ. Dept of Electrical & Electronic Eng.
2013年度 プログラミングⅠ ~ 授業紹介 ~.
Ibaraki Univ. Dept of Electrical & Electronic Eng.
Handel-Cを用いた パックマンの設計
計算機工学特論 スライド 電気電子工学専攻 修士1年 弓仲研究室 河西良介
コンピュータアーキテクチャ 第 5 回.
Ibaraki Univ. Dept of Electrical & Electronic Eng.
Cソースコード解析による ハード/ソフト最適分割システムの構築
プロセッサ設計支援ツールを用いた 独自プロセッサの設計
コンピュータアーキテクチャ 第 9 回.
コンピュータアーキテクチャ 第 5 回.
ILC衝突点ビームモニターのための 読み出し回路の開発
線形符号(10章).
Ibaraki Univ. Dept of Electrical & Electronic Eng.
Ibaraki Univ. Dept of Electrical & Electronic Eng.
2012年度 情報数理 ~ ハミング距離 ~.
Presentation transcript:

巡回冗長検査CRC32の ハード/ソフト最適分割の検討 電子情報デザイン学科 B4 伊藤大喜 2009/2/27

研究背景・目的 LSIの開発環境の高度化に伴い、ハード/ソフト協調設計の技術が求められている。 巡回冗長検査CRCをはじめとしたエラー検出はファイルの送受信の処理時間に大きく影響する。 ハード、ソフトでCRC32を設計し、最適な分割案を検討する。

研究の流れ アルゴリズムを理解 動作をC言語で記述 モジュール分割案を考案 ハードウェア設計 モジュールを考慮したC言語記述 ハード/ソフトの分割案を検討

CRC(Cyclic Redundancy Check)32とは 2進数とみなす + 送信データ CRC 送信データ CRC 生成多項式で割る チェックビットとして付加して送信 送信データ CRC 余りが0ならデータは正しく受信出来ている 送信側 名称 生成多項式 主な用途 CRC-1 x+1 パリティビット CRC-16 x16+x15+x2+x1+1 SDLC、USB CRC-30 x30+x29+x21+x20 +x15+x13+x12+x11+x8+x7+x6+x2+x1+1 CDMA CRC-32 x32+x26+x23+x22+x16+x12+x11+x10+x8+x7+x5+x4+x2+x1+1 V.42, MPEG-2, PNG

CRC32のアルゴリズム 例 : 入力ビット列 : 11010011101100  除数ビット列 : 1011 10010011101100 00000000000010 EX-OR 1011 00000000000010 0010 0011101100 結果

CRC32のモジュール分割案 memory calculator ・ memoryモジュール ・ calculatorモジュール  入力するビット列を保持しておき、他モジュールにその値を1ビットずつ送信。   値が終了すればその合図としてbit_countを返す。 ・ calculatorモジュール memoryモジュールから値を受け取り、bit_countを受け取れば受信を終了。   そこから演算を開始し、除数ビット列の終端が入力ビット列の終端まで達した   ら演算終了。 信号名 方向 幅(bit) 詳細 out_data output 8 送信データ bit_count 1 受信停止信号 memory memoryの信号線 data bit_count 信号名 方向 幅(bit) 詳細 in_data input 8 受信データ bit_count 1 受信停止信号 calculator calculatorの信号線 モジュール図

実験結果・考察 負荷割合 memory 27% calculator 73% 分類 ハードウェア処理部 ソフトウェア処理部 回路規模 実行クロック数 A memory, calculator 126597 B memory calculator 457 -  C 1999 D 2456 324 分割パターンと結果

まとめ 今後の課題 ハードウェア・ソフトウェアでの設計 結果を用いた分割パターンの検討 C言語、verilog記述方法の習得 ハードウェア化、ソフトウェア化による効果と 性能向上におけるハード/ソフト分割の重要性 今後の課題 MicroBlazeを用いFPGA上への実装を行い、より精密な予測を行う。